Generating random numbers and $fdisplay in Verilog testbench.

Verilog testbench to generate random numbers and use of $fdisplay to store it in a text file.
~\Documents\fullchip\python\mysite\webpages\templates\webpages\test.v.html
// Test Bench for generating random numbers
module random_tb ();

integer seed;
integer out;
integer i ;

initial begin
  out = $fopen("rand.vec","w");
  $fdisplay(out, "seed = %h, 1st random number in hexadecimal = 0x%h", seed, $random(seed));
  $fdisplay(out, "seed = %h, 2nd random number in hexadecimal = 0x%h", seed, $random(seed));
  $fdisplay(out, "seed = %h, 3rd random number in hexadecimal = 0x%h", seed, $random(seed));
  $fdisplay(out, "seed = %h, 4th random number in hexadecimal = 0x%h", seed, $random(seed));
  $fdisplay(out, "seed = %h, 5th random number in hexadecimal = 0x%h", seed, $random(seed));
end

endmodule

LTE - 4G Wireless Technology

Digital fundamentals.

Interview Questions.

Results of verilog random generation are displayed in ‘rand.vec’ file below.

seed = 23980634, 1st random number in hexadecimal = 0x12153524
seed = 92153206, 2nd random number in hexadecimal = 0xc0895e81
seed = 40895ccf, 3rd random number in hexadecimal = 0x8484d609
seed = 0484d4c4, 4th random number in hexadecimal = 0xb1f05663
seed = 31f054f5, 5th random number in hexadecimal = 0x06b97b0d

Tutorials @fullchipdesign.com

Verilog Tutorial.

LTE Tutorial.

Memory Tutorial.

Hope you liked! this page. Don't forgot to access relevant previous and next sections with links below.